かずの不定期便ブログ

備忘録代わりに書きます

vmware workstaion 17 playerで動かしたubuntu24.04の画面がブラックアウト

VMware playerのverup(17)を行い、さらにUbuntu 24をインストールしたのですが、画面がブラックアウトしました。 こうなるとタスクマネージャから無理やりプロセスを切らないと終了できない事態に陥りました。 メニューの"ゲストをシャットダウン"で普通に…

onedriveの自動バックアップを切るお話

onedriveの自動バックアップを切るお話 自動バックアップをオフにしても、再起動後、ONに戻る 最近、winodows11へアップデートしたのですが、デスクトップとドキュメントフォルダがonedriveの自動バックアップ対象になってしまいました。 もしかしたら直近の…

PicoRV32のプログラムをSDRAM上で動かす(TangNano20K)

はじめに 課題 フラッシュからITCMへ命令実行を遷移する方法の解析 _vsloader のアドレスの特定 リセット解除後、最初に実行される関数は? リンカはどうやってアドレスを決めているか? ITCM実行部分をSDRAM領域へ差し替えを行う(ソフト面) スタックポイン…

FPGA Sipeed Tang Nano 20KでIP Gowin_PicoRV32を動かす

FPGA Sipeed Tang Nano 20KでIP Gowin_PicoRV32を動かす FPGA Sipeed Tang Nano 20KでIP Gowin_PicoRV32を動かす はじめに PicoRV32のインスタンス方法 リファレンスデザインの実装 picorv32_demo.vの修正内容 picorv32.cstの修正内容 PicoRV32のインスタン…

FPGA Sipeed Tang Nano 20KでSDRAMを動かす

FPGA Sipeed Tang Nano 20KでSDRAMを動かす FPGA Sipeed Tang Nano 20KでSDRAMを動かす はじめに 設計方針 改造内容 バースト転送対応とPrechargeコマンドの投入について Logical side interface仕様 複数の要求元(最大3)に対応 ブロック図 cmd i/f 端子一覧…

FPGA Sipeed Tang Nano 9Kでフォトビューワーになりそこなったものを作りました

FPGA Sipeed Tang Nano 9Kでbmp画像を表示させる フォートビューワ FPGA Sipeed Tang Nano 9Kでbmp画像を表示させる はじめに フォトビューワー(のようなもの)の要件 HDMI表示の流れ ハード構成図(SDカード→PSRAM) PSRAM書き込み側制御回路 ハード構成図(…

FPGA Sipeed Tang Nano 9KでDualPortメモリの作成方法

FPGA Sipeed Tang Nano 9KでDualPortメモリの作成方法 FPGA Sipeed Tang Nano 9KでDualPortメモリの作成方法 BSRAMとは BSRAMの推論が上手くいかないRTL記述 BSRAMを直置きするとSIMが出来ない RTLから合成でBSRAMが推論されない理由が判明 結論。DualPortメ…

FPGA Sipeed Tang Nano 9Kを使ってPSRAMへアクセス

リーズナブルFPGAシリーズのTang Nano 9Kを使ってPSRAMへアクセスしました TERM表示 リーズナブルFPGAシリーズのTang Nano 9Kを使ってPSRAMへアクセスしました 1. PSRAMについて 2. コントローラの実装について PSRAMメモリーインターフェースIPの選択 チャ…

FPGA Sipeed Tang Nano 9Kを使ってSDカードアクセス(その2。ソフト設計編)

リーズナブルFPGAシリーズのTang Nano 9Kを使ってTFカードスロットにアクセスしました(その2。ソフト設計編) 今回はソフト設計編になります。 SDカードへのアクセスメニューを追加したpicotiny リーズナブルFPGAシリーズのTang Nano 9Kを使ってTFカードス…

FPGA Sipeed Tang Nano 9Kを使ってSDカードアクセス(その1。下調べとハード設計編)

リーズナブルFPGAシリーズのTang Nano 9Kを使ってTFカードスロットにアクセスしました(その1。下調べとハード設計編) picotinyへSDカードアクセスメニューを追加した

FPGA Sipeed Tang Nano 9Kを使ってHDMI表示(720p)

リーズナブルFPGAシリーズのTang Nano 9Kを使ってHDMI表示させましたまだ、wikiではTangNano 9KではHDMI表示サンプルがなかったのでTangNano 4K版を参考に作成しました。 wikiはこちら wiki.sipeed.com

ESP32でIIC OLED SSH1106に時計を表示させました

1.3インチ I2C駆動のOLEDディスプレイSSH1106を買いました。解像度は128x64です。 ESP32を使って7segフォントを使ったデジタル時計を作ってみました。 ESP32でI2C OLED SSH1106にデジタル時計を表示させる

Xilinx FPGA ILAを利用した簡易ロジックアナライザ―の製作(333MHz) その2

前回の記事でbit streamの作成まで終わりました。今回はその続きで、実際に信号をキャプチャするところまで記事にします。 前回の記事はこちら spend-carefree.hatenablog.com bit streamの書き込み ILAの操作(信号を取り込みます) PYNQがロジアナになりまし…

Xilinx FPGA ILAを利用した簡易ロジックアナライザ―の製作(333MHz)(bit stream作成まで)

背景 実現方法 ロジアナ化するための必要な要素 ロジアナの仕様決め RTLの作成 クロック生成モジュール メインモジュール 合成制約ファイル .xdcの準備 以下に今回作成したxdcファイル"logic_analyzer.xdc"を示します。 Vivadoでの合成作業 終わりに...(記事…

Arduino向けILI9486 LCDパネルを使ってラズパイで動画再生(ソフト作成編その2)

前回の 「Arduino向けILI9486 LCDパネルを使ってラズパイで動画再生(ソフト作成編その1)」 からの続きになります。今回が最終記事です。 spend-carefree.hatenablog.com目次 動画の表示の仕方 動画の準備 フレームレートが出ない 動画表示速度の改善 その1…

Arduino向けILI9486 LCDパネルを使ってラズパイで動画再生(ソフト作成編その1)

前回の仕様確認編からの続きになります。 spend-carefree.hatenablog.com今回は: ラズパイを使ってどのようにLCDモジュール(ILI9486)を駆動すればよいのか? ArduinoのLCDシールド→ラズパイ変換基板の作成 ラズパイGPIOの性能 LCDモジュールに画像を表示さ…

Arduino向けILI9486 LCDパネルを使ってラズパイで動画再生(仕様確認編)

目次 目的 購入したモジュール LCD駆動マイコンの選定 購入したLCDモジュール LCDモジュールの動作確認 LCDモジュールの仕様確認 ILI9486のデータシート 端子一覧(抜粋) タイミング 目的 オリジナルドライバでLCDに動画を表示させること 下記の記事に触発さ…

X68K DMAC(HD63450)がバスマスタの時の格安ロジアナによるバス観測 その2

目次 ASX信号をソケット側でプローブする事で見えます DTACKX、ASX信号はソケット側とMPU側でタイミングに違いがあるのか 第二弾になるのですが、前回、DMAがマスター時のASXが観測できていなかったので、再取得版です。 ASX信号をソケット側でプローブする…

X68K DMAC(HD63450)がバスマスタの時の格安ロジアナによるバス観測

目次 X68K DMAC(HD63450)のバス権取得の様子 波形説明 DMACがバスマスタ時の区間の説明 DMACのアクセス間隔 信号の説明 X68K DMAC(HD63450)のバス権取得の様子 格安ロジアナを使って68KコアへDMAC(HD63450)がバス権を取得する様子を見てみました。 24MHzスペ…

高位合成ブロックを手書きのRTLから制御する

目次 高位合成について 高位合成ブロックのインターフェースについて 高位合成ブロックのインターフェースのSIM 高位合成ブロックのインターフェースの改善 構造体 全体ブロック図 HLSブロックとRTLの結線 最後に 高位合成について xilinx社のVitis HLSではC…

PYNQしてみる。動きました!

目次 PYNQ-Z1ボードなのでpythonからPL部を動作させたい AXIバス幅を64bitへ改造する Pythonから動かしてみる ILAでAXI信号を観測する 考察 PYNQ-Z1ボードなのでpythonからPL部を動作させたい pythonからPL部のロジックを動かす事が目的ですが、ただ動かすだ…

PYNQしてみる。そして動かず

目次 PYNQ-Z1ボードなのでpythonからPL部を動作させたい DMAを作成する vivadoでの作業 Vitisでの作業 pythonから呼び出し ILAを使ってAXI信号をモニタしてみる PYNQ-Z1ボードなのでpythonからPL部を動作させたい pythonからPL部のロジックを動かす事が目的…

FPGAでOPMを鳴らしてみた(実機編)

目次 FPGAでOPMを鳴らしてみた(実機編) レシピ クロック決め DAC選定 68K→YM2151バスブリッジ回路(クロック乗り換え必要) 68K→AXIバスブリッジ回路(クロック乗り換え必要) CLK生成モジュール(68Kコアクロックとjt51用クロック生成) DAC駆動用jt51出力→I2S変…

FPGAでOPMを鳴らしてみた(シミュレーション編)

目次 FPGAでOPMを鳴らしてみた OPMドライバと制御用CPUの調達 論理シミュレーションの方針 68Kコアのバスインターフェース JT51のバスインターフェース 割込みコントローラ 68K→YM2151バスブリッジ回路 RAMモデル 68KコアへのDTACKX入力 テストドライバ 動作…

Xilinx FPGA開発環境の学習

目次 Xilinxの開発環境を学習する vivado環境を整える ボードファイルのインストール チュートリアル 書籍に従い学習 HDMI出力の利用 学習終了 出来るようになった事のまとめ 今後行いたい事 参考書 Xilinxの開発環境を学習する Xilinxの開発環境に初めて触…

FPGA買いました

目次 FPGAを買いました ブート(動作確認) network接続 端末接続 その他の設定 タイムゾーンの修正 チュートリアルやってみる FPGAを買いました PYNQ-Z1 というボードを購入しました。 これはCortex-A9x2とFPGAが統合されたZynq-7020というSoCが実装されて…

FDDエミュレータFDX68の導入

目次 X68KにFDDエミュレータのFDX68及びFDD-SWAPPER FDS+を繋ぐ FDX68の基板を作成する X68Kにつないで動作チェック FDS+の運用で気が付いた事 4driveになることが問題です 強制2drive化 ケーブルの作成と本体への収納 動作チェック X68KにFDDエミュレータの…

X68KにPS/2マウスを繋ぐ

目次 X68KにPS/2マウスを繋ぐ 部品の入手 PICマイコンのファーム書き込み picberryの入手とコンパイル ラズパイとPICマイコンの接続 PICの書き込み操作 PS/2マウス→X68マウス変換アダプタの作成 PS/2マウスで動作しました X68KにPS/2マウスを繋ぐ うちのトラ…

X68K 電源を修理

結論から先に書きますと、完全復活しませんでした。電源がスタンバイモード(VCC2のみ生きてるモード)にならないです。。。目次 電源の修理を行います 部品の調達 電源の状態確認 電解コンデンサの交換作業 この作業で得た経験 抵抗値の測定 コンデンサの取…

X68K RaSCSI内蔵 追補版・・・外付けSASI基板調査

目次 外付けSASI基板調査 抵抗の結線先の調査 外付けSASI基板調査 前回RaSCSI内蔵の記事で、外付け用のSASI基板には終端抵抗があるようなのでと思い込み、将来外付けを繋がない予定ではあるのですが結線だけは行いました。これは終端抵抗ではないようです。…